Tag: Industry Applications

IEEE Standard for the Functional Verification Language e

The e functional verification language is an application-specific programming language, aimed at automating the task of verifying a hardware or software design with respect to its specification. Verification environments written…

IEEE Standard for IP-XACT, Standard Structure for Packaging, Integrating, and Reusing IP within Tool Flows

Conformance checks for extensible Markup Language (XML) data designed to describe electronic systems are formulated by this standard. The meta-data forms that are standardized include: components, systems, bus interfaces and…

IEEE Standard VHDL Language Reference Manual – Procedural Language Application Interface

This amendment adds a simulation runtime application interface (VHDL Programming Interface or VHPI) to the existing base standard IEEE Std 1076-2002

IEC/IEEE International Standard – VHDL Register Transfer Level (RTL) Synthesis

Replaces IEEE Std 1076.6-2004. This document specifies a standard for use of very high-speed integrated circuit hardware description language (VHDL) to model synthesizable register-transfer level digital logic. A standard syntax…

IEEE Standard for Petroleum and Chemical Industry–Premium-Efficiency, Severe-Duty, Totally Enclosed Fan-Cooled (TEFC) Squirrel Cage Induction Motors–Up to and Including 370 kW (500 hp)

This standard applies to premium efficiency totally enclosed fan-cooled (TEFC), horizontal and vertical, single-speed, squirrel cage polyphase induction motors, up to and including 370 kW (500 hp), and 4000 volts…

IEEE Standard for Verilog Hardware Description Language

The Verilog hardware description language (HDL) is defined in this standard. Verilog HDL is a formal notation intended for use in all phases of the creation of electronic systems. Because…

IEC/IEEE International Standard – Delay and Power Calculation Standards – Part 3: Standard Delay Format (SDF) for the Electronic Design Process

The Standard Delay Format (SDF) is defined in this standard. SDF is a textual file format for representing the delay and timing information of electronic systems. While both human and…

IEC/IEEE International Standard – Behavioural Languages – Part 4: Verilog(C) Hardware Description Language

The Verilog(R) Hardware Description Language (HDL) is defined in this standard. Verilog HDL is a formal notation intended for use in all phases of the creation of electronic systems. Because…

IEC/IEEE International Standard – Behavioral Languages – Part 5: Standard VITAL ASIC (Application Specific Integrated Circuit) Modeling Specification

IThe VITAL (VHDL Initiative Towards ASIC Libraries) ASIC Modeling Specification is defined in this standard. This modeling specification defines a methodology which promotes the development of highly accurate, efficient simulation…
Subscribe to our Newsletter

Sign up for our monthly newsletter to learn about new developments, including resources, insights and more.